본문 바로가기
전자 | 제어 | 항공우주/FPGA & HDL

Verilig & VHDL 무료 프로그램 TOP 4

by 상상메카J 2023. 5. 28.
반응형

안녕하세요~! Verilog나 VHDL같은 HDL 코딩에서 컴파일러나 시뮬레이터는 꼭 필요하죠.
Verilog 또는 VHDL과 같은 하드웨어 설명 언어를 사용하여 FPGA 또는 ASIC를 프로그래밍하는 방법을 배울 때 코드를 시뮬레이션할 수 있는 것은 HDL 언어를 익힐 때 상당히 중요한 부분입니다.
사실, HDL 시뮬레이션을 실행할 수 있는 것은 코드가 어떻게 동작하는지 이해하는 데 중요합니다. 초보자의 경우 시뮬레이션을 실행하면 학습 중인 HDL에서 새로운 구문이나 개념이 어떻게 동작하는지 보여주는 데 도움이 됩니다.
그러나 각각의 시뮬레이터마다 장단점이 서로 다 다릅니다. 따라서 어떤 도구를 사용하는 것이 가장 좋은지 알려드리고자 합니다.
QuestaSim과 같은 상용 제품에 대한 비용을 지불할 의사가 없는 한 모든 솔루션에 적합한 단일 크기를 쉽게 사용할 수 없기 때문에 이러한 선택은 더욱 복잡합니다.
자, 그럼 가장 인기 있는 HDL 시뮬레이터 4가지를 살펴봅시다. 아래에 초보자에게 최고의 HDL 시뮬레이션 도구를 쉽게 선택할 수 있도록 각 도구의 장단점을 설명해놨습니다.

그럼 하나하나 알아볼까요?
 

반응형

 

Icarus Verilog

Icarus Verilog

Icarus Verilog

Icarus Verilog는 Verilog 신시사이저와 시뮬레이터를 모두 포함하는 완전한 오픈 소스 컴파일러입니다. 그것은 가장 인기 있는 오픈 소스 Verilog 시뮬레이터 중 하나입니다. 그것은 심지어 인기 있는 EDA 놀이터 웹사이트에서 사용할 수 있는 시뮬레이터 중 하나입니다.
Icarus Verilog는 Windows, macOS 또는 Linux 기반 운영 체제에서 사용할 수 있습니다. Verilog-2005 표준을 완벽하게 지원하고 시스템 Verilog를 제한적으로 지원합니다...
이카루스 베릴로그가 초보자들에게 좋은 선택인 몇 가지 이유가 있습니다. 오픈소스 툴인 만큼 이카루스 베릴로그를 무료로 사용할 수 있습니다. 그러나 이카루스 베릴로그를 유지하고 새로운 기능으로 업데이트하는 개발자 커뮤니티는 여전히 존재합니다.
이카루스를 초보자들에게 매력적인 도구로 만드는 또 다른 것은 사용 편의성입니다. 기본 시뮬레이션을 실행하려면 몇 가지 간단한 명령만 있으면 됩니다.
하지만, 이카루스 베릴로그에게는 몇 가지 단점도 있습니다.
가장 큰 단점 중 하나는 Icarus Verilog가 기본적으로 파형을 표시하지 않는다는 것입니다. 이 기능은 일반적으로 HDL 기반 설계를 쉽게 디버그할 수 있기 때문에 유용합니다.
그러나 파형을 확인하기 위해 오픈 소스 GTKWave 소프트웨어로 파형을 내보낼 수 있습니다.
이 외에도 Icarus Verilog는 Verilog에 대한 완전한 지원만 제공합니다. 즉, VHDL 또는 SystemVerilog를 사용하는 시뮬레이션을 이 도구로 실행할 수 없습니다.
 

GDHL

GHDL은 거의 20년 된 완전한 오픈 소스 VHDL 컴파일러이자 시뮬레이터입니다. Github의 개발자 커뮤니티는 GHDL 코드 기반을 유지하고 정기적으로 새로운 업데이트를 릴리스합니다.
Windows, macOS 또는 Linux 기반 운영 체제에서 GHDL을 사용할 수 있습니다. GHDL은 현재 VHDL-87, 93 및 2002 표준을 완벽하게 지원합니다. 이 외에도 VHDL-2008의 가장 중요한 기능도 많이 지원됩니다.
GHDL은 가장 인기 있는 오픈 소스 VHDL 시뮬레이터입니다. 이러한 인기의 결과로, 그것은 EDA 운동장에 등장하는 시뮬레이터 중 하나이기도 합니다.
이러한 인기의 한 가지 이유는 VHDL-2008 표준의 여러 기능을 지원하기 때문입니다. 이는 상업적으로 이용 가능한 많은 시뮬레이터와 직접 비교할 수 있으며, 대부분은 여전히 제한적인 VHDL-2008 지원만을 제공합니다.
초보자들에게 GHDL을 매력적으로 만드는 또 다른 특징은 사용 편의성입니다. 두 개의 명령만 사용하여 VHDL 기반 설계를 시뮬레이션할 수 있습니다.
고급 사용자를 위해 GHDL은 OSVVM 및 UVVM과 같은 시뮬레이션 라이브러리도 쉽게 지원합니다.
그러나 GHDL을 사용하는 데는 몇 가지 단점이 있습니다.
Icarus Verilog와 마찬가지로 GHDL에서는 시뮬레이션의 파형을 표시할 수 없습니다. 즉, 파형을 보려면 무료 GTKWave 소프트웨어로 파형을 내보내야 합니다.
또한 GHDL은 VHDL 기반 설계를 시뮬레이션하는 데만 사용할 수 있습니다. 이는 다국어 지원을 원한다면 다른 도구를 찾아야 한다는 것을 의미합니다.
 

ModelSim

ModelSim

Modelsim은 Siemens에서 상업적으로 생산되는 시뮬레이션 도구입니다. 산업적으로 가장 많이 사용되는 HDL 시뮬레이터 중 하나인 Questa 시뮬레이터의 분해 버전입니다.
Modelsim은 유료 도구이지만 Intel 또는 Microchip FPGA 도구 체인의 일부로 무료 버전을 얻을 수 있습니다.
Modelsim은 Linux 또는 Windows 기반 운영 체제에서 사용할 수 있지만 macOS에서는 사용할 수 없습니다.
오픈 소스 도구와 달리 Modelsim을 사용하여 시스템 Verilog, VHDL 또는 Verilog를 혼합하여 사용하는 설계를 시뮬레이션할 수 있습니다.
또한 Modelsim에는 GUI가 있어 추가 소프트웨어 도구를 사용하지 않고도 파형을 볼 수 있습니다. 우리가 이미 논의했듯이, 이것은 시뮬레이션에서 무슨 일이 일어나고 있는지 쉽게 시각화할 수 있기 때문에 초보자에게 특히 유용합니다.
그러나 HDL 시뮬레이션에 Modelim을 사용하면 몇 가지 단점이 있습니다.
우리가 논의한 다른 툴들과 비교했을 때 Modelsim은 사용하기가 가장 어렵습니다. 시뮬레이션을 효과적으로 실행하려면 tcl을 사용하여 스크립트를 작성해야 합니다. 이러한 스크립트를 작성하기 위해 tcl을 마스터할 필요는 없지만 최소한 기본적인 이해가 필요합니다.
Questa와 Modelsim이 상업적으로 인기 있는 도구인 이유 중 하나는 빠른 시뮬레이션 시간을 제공하기 때문입니다. 그러나 무료 버전의 성능은 의도적으로 제한되므로 시뮬레이션 실행 속도가 느릴 수 있습니다.
Modelsim은 SystemVerilog를 지원하지만 언어의 몇 가지 주요 기능은 지원되지 않습니다. 여기에는 클래스 및 기능 범위와 같은 대부분의 검증 관련 기능이 포함됩니다.
 

 

Vivado

Vivado

 
Vivado는 FPGA를 설계, 시뮬레이션 및 구축하는 데 사용할 수 있는 Xilinx의 소프트웨어 도구입니다. 지금까지 논의한 다른 도구들과는 달리, Vivado는 상업적으로 개발되고 유지되고 있습니다. 결과적으로 Vivado는 새로운 기능과 버그 수정으로 정기적으로 업데이트됩니다.
Vivado에 대한 라이센스 옵션은 FPGA 제품군에 따라 여러 가지가 있습니다. 하지만, 초보자들을 위한 무료 버전은 기본적인 디자인과 시뮬레이션에 사용할 수 있습니다.
Windows 및 Linux 기반 운영 체제에서 Vivado를 사용할 수 있지만 현재 macOS는 지원되지 않습니다.
Vivado를 사용하여 시스템 Verilog, VHDL 또는 Verilog를 사용하여 설계를 시뮬레이션할 수 있습니다. 이는 하나의 언어만 지원하는 GHDL 또는 이카루스보다 확실한 이점입니다.
Vivado를 사용하여 FPGA를 구축하는 경우 학습 곡선이 있지만, 간단한 시뮬레이션에 비교적 간단하게 사용할 수 있습니다. 또한 지금까지 논의한 오픈 소스 도구와 달리 그래픽 사용자 인터페이스를 제공합니다.
Vivado를 시뮬레이션에 사용하면 기본적으로 파형 보기를 지원할 수 있다는 또 다른 이점이 있습니다. 이것은 시뮬레이션에서 일어나는 일을 빠르게 시각화할 수 있기 때문에 초보자에게 특히 유용합니다.
그러나 Vivado를 HDL 시뮬레이터로 사용하는 것에는 몇 가지 단점도 있습니다.
Vivado의 단점 중 하나는 일반적으로 대규모 설치가 필요하고 설치하는 데 30GB 이상이 필요하다는 것입니다. 그 이유는 FPGA를 시뮬레이션하고 물리적 장치를 프로그래밍하는 데 사용할 수 있는 비트스트림을 구축하도록 설계된 도구 모음이기 때문입니다.
Vivado의 또 다른 단점은 VHDL-2008을 완전히 지원하지 않는다는 것입니다. 그러나 이 지원은 소프트웨어의 새 릴리스마다 개선되고 있습니다.
또한 고급 사용자가 Vivado와 함께 UVM 및 OSVVM과 같은 타사 시뮬레이션 라이브러리를 사용하는 것은 어려울 수 있습니다.
 

 

결론:

가볍게 쓰고싶다 : 
Verilog = Icarus Verilog
VHDL = GDHL
 
용량 여유가 있으니 제대로 쓰고싶다.
Vivado vs ModelSim결과는..Verilog, VHDL = Vivado
 
(ModelSim도 좋으나 무료버전은 약간의 제한이 있으며,가장 대세인 Xilinx FPGA를 쓰는 것을 염두하면 Xilinx 사의 Vivado가 가장 유리합니다.)
 

반응형

댓글